List of commits:
Subject Hash Author Date (UTC)
hellow word, printf from serial port. 6818f08e563aefc70c9bc9ef18852149e080d237 zhanzr 2018-08-04 18:03:27
blink demo. bc35d066c34d62eb527f29af81a9907a960e23bd zhanzr 2018-08-04 17:34:03
Commit 6818f08e563aefc70c9bc9ef18852149e080d237 - hellow word, printf from serial port.
Author: zhanzr
Author date (UTC): 2018-08-04 18:03
Committer name: zhanzr
Committer date (UTC): 2018-08-04 18:03
Parent(s): bc35d066c34d62eb527f29af81a9907a960e23bd
Signing key:
Tree: 8b31a33a1b582764d377555e7b534db1465d95e6
File Lines added Lines deleted
hello_world/Makefile 1 1
hello_world/README.md 2 2
hello_world/main/component.mk 1 0
hello_world/main/hello_world_main.c 27 16
hello_world/sdkconfig 0 5
File hello_world/Makefile copied from file blink/Makefile (similarity 84%) (mode: 100644) (index 6f8b9fa..0d1f5e6)
3 3 # project subdirectory. # project subdirectory.
4 4 # #
5 5
6 PROJECT_NAME := blink
6 PROJECT_NAME := hello-world
7 7
8 8 include $(IDF_PATH)/make/project.mk include $(IDF_PATH)/make/project.mk
9 9
File hello_world/README.md copied from file blink/README.md (similarity 60%) (mode: 100644) (index 10590f6..4fb3c40)
1 # Blink Example
1 # Hello World Example
2 2
3 Starts a FreeRTOS task to blink an LED
3 Starts a FreeRTOS task to print "Hello World"
4 4
5 5 See the README.md file in the upper level 'examples' directory for more information about examples. See the README.md file in the upper level 'examples' directory for more information about examples.
File hello_world/main/component.mk copied from file blink/main/component.mk (similarity 99%) (mode: 100644) (index a98f634..0b9d758)
2 2 # "main" pseudo-component makefile. # "main" pseudo-component makefile.
3 3 # #
4 4 # (Uses default behaviour of compiling all source files in directory, adding 'include' to include path.) # (Uses default behaviour of compiling all source files in directory, adding 'include' to include path.)
5
File hello_world/main/hello_world_main.c copied from file blink/main/blink.c (similarity 60%) (mode: 100644) (index 2f805b9..61f2c19)
1 /* Blink Example
1 /* Hello World Example
2 2
3 3 This example code is in the Public Domain (or CC0 licensed, at your option.) This example code is in the Public Domain (or CC0 licensed, at your option.)
4 4
5 5 Unless required by applicable law or agreed to in writing, this Unless required by applicable law or agreed to in writing, this
6 6 software is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR software is distributed on an "AS IS" BASIS, WITHOUT WARRANTIES OR
7 7 CONDITIONS OF ANY KIND, either express or implied. CONDITIONS OF ANY KIND, either express or implied.
8
9 Three LED GPIOs: 5, 18, 19
10 8 */ */
11
12 9 #include <stdio.h> #include <stdio.h>
13 10 #include "freertos/FreeRTOS.h" #include "freertos/FreeRTOS.h"
14 11 #include "freertos/task.h" #include "freertos/task.h"
15 #include "driver/gpio.h"
16 #include "sdkconfig.h"
17
18 /* Can run 'make menuconfig' to choose the GPIO to blink,
19 or you can edit the following line and set a number here.
20 */
21 #define BLINK_GPIO CONFIG_BLINK_GPIO
12 #include "esp_system.h"
13 #include "esp_spi_flash.h"
22 14
23 15 const uint8_t LED_B_GPIO = 5; const uint8_t LED_B_GPIO = 5;
24 16 const uint8_t LED_G_GPIO = 18; const uint8_t LED_G_GPIO = 18;
 
... ... void blink_task(void *pvParameter)
49 41
50 42 void app_main() void app_main()
51 43 { {
52 xTaskCreate(&blink_task, "blink_task_blue",
53 configMINIMAL_STACK_SIZE, (void*)LED_B_GPIO, tskIDLE_PRIORITY+1, NULL);
44 printf("Hello world!\n");
45
46 /* Print chip information */
47 esp_chip_info_t chip_info;
48 esp_chip_info(&chip_info);
49 printf("This is ESP32 chip with %d CPU cores, WiFi%s%s, ",
50 chip_info.cores,
51 (chip_info.features & CHIP_FEATURE_BT) ? "/BT" : "",
52 (chip_info.features & CHIP_FEATURE_BLE) ? "/BLE" : "");
53
54 printf("silicon revision %d, ", chip_info.revision);
55
56 printf("%dMB %s flash\n", spi_flash_get_chip_size() / (1024 * 1024),
57 (chip_info.features & CHIP_FEATURE_EMB_FLASH) ? "embedded" : "external");
58
54 59 xTaskCreate(&blink_task, "blink_task_green", xTaskCreate(&blink_task, "blink_task_green",
55 configMINIMAL_STACK_SIZE, (void*)LED_G_GPIO, tskIDLE_PRIORITY+1, NULL);
56 xTaskCreate(&blink_task, "blink_task_red",
57 configMINIMAL_STACK_SIZE, (void*)LED_R_GPIO, tskIDLE_PRIORITY+1, NULL);
60 configMINIMAL_STACK_SIZE, (void*)LED_G_GPIO, tskIDLE_PRIORITY+1, NULL);
61 // for (int i = 10; i >= 0; i--) {
62 // printf("Restarting in %d seconds...\n", i);
63 // vTaskDelay(1000 / portTICK_PERIOD_MS);
64 // }
65 // printf("Restarting now.\n");
66 // fflush(stdout);
67 // esp_restart();
58 68 } }
69
File hello_world/sdkconfig copied from file blink/sdkconfig (similarity 99%) (mode: 100644) (index 418813c..ebcc6d1)
... ... CONFIG_MONITOR_BAUD_OTHER=
76 76 CONFIG_MONITOR_BAUD_OTHER_VAL=115200 CONFIG_MONITOR_BAUD_OTHER_VAL=115200
77 77 CONFIG_MONITOR_BAUD=115200 CONFIG_MONITOR_BAUD=115200
78 78
79 #
80 # Example Configuration
81 #
82 CONFIG_BLINK_GPIO=5
83
84 79 # #
85 80 # Partition Table # Partition Table
86 81 # #
Hints:
Before first commit, do not forget to setup your git environment:
git config --global user.name "your_name_here"
git config --global user.email "your@email_here"

Clone this repository using HTTP(S):
git clone https://rocketgit.com/user/zhanzr/esp32_radio_demo

Clone this repository using ssh (do not forget to upload a key first):
git clone ssh://rocketgit@ssh.rocketgit.com/user/zhanzr/esp32_radio_demo

Clone this repository using git:
git clone git://git.rocketgit.com/user/zhanzr/esp32_radio_demo

You are allowed to anonymously push to this repository.
This means that your pushed commits will automatically be transformed into a merge request:
... clone the repository ...
... make some changes and some commits ...
git push origin main